Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Electron resists")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 104

  • Page / 5
Export

Selection :

  • and

Effect of molecular weight distribution on e-beam exposure properties of polystyreneKUMAR DEY, Ripon; BO CUI.Nanotechnology (Bristol. Print). 2013, Vol 24, Num 24, issn 0957-4484, 245302.1-245302.5Article

Modeling resist heating in mask fabrication using a multi-layer Green's function approachDACHEN CHU; PEASE, R. Fabian W; GOODSON, Kenneth E et al.SPIE proceedings series. 2002, isbn 0-8194-4435-9, 2Vol, vol 1, 206-212Conference Paper

Destruction of Solid C60F18 by Electron BeamSHNITOV, V. V; MIKOUSHKIN, V. M; GORDEEV, YU. S et al.Fullerenes, nanotubes, and carbon nanostructures (Print). 2010, Vol 18, Num 1-6, pp 446-449, issn 1536-383X, 4 p.Conference Paper

Advanced electron beam resist requirements and challengesJAMIESON, Andrew; YONG KWAN KIM; OLSON, Bennett et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 8166, issn 0277-786X, isbn 978-0-8194-8791-9, 816616.1-816616.15, 2Conference Paper

Multi-adduct derivatives of C60 for electron beam nano-resistsTADA, Tetsuya; UEKUSA, Koichiro; PHILLIPS, Marcus et al.Microelectronic engineering. 2002, Vol 61-62, pp 737-743, issn 0167-9317Conference Paper

A method for fabricating below 22nm feature patterns in quartz moldTERASAKI, Atsunori; SEKI, Junichi; ONO, Haruhito et al.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69211N.1-69211N.9, issn 0277-786X, isbn 978-0-8194-7106-2Conference Paper

A single-step process for making nanofluidic channels using electron beam lithographyPEARSON, J. L; CUMMING, D. R. S.Microelectronic engineering. 2005, Vol 78-79, pp 343-348, issn 0167-9317, 6 p.Conference Paper

Resolution Limits of Electron-Beam Lithography toward the Atomic ScaleMANFRINATO, Vitor R; LIHUA ZHANG; DONG SU et al.Nano letters (Print). 2013, Vol 13, Num 4, pp 1555-1558, issn 1530-6984, 4 p.Article

Uniformity measurement of electron emission from carbon nanotubes using electron beam resistLEE, J. H; LEE, S. H; LEE, H. J et al.LEE, H. J et al.International Vacuum Nanoelectronics Conference. 2004, pp 56-57, isbn 0-7803-8397-4, 1Vol, 2 p.Conference Paper

The trouble starts with using electrons - Putting charging effect correction models to the testWANDEL, Timo; UTZNY, Clemens; NAKAYAMADA, Noriaki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 8166, issn 0277-786X, isbn 978-0-8194-8791-9, 81661C.1-81661C.10, 2Conference Paper

Difference between initial distributions of proton and counter anion in chemically amplified electron-beam resistKOZAWA, Takahiro; YAMAMOTO, Hiroki; SAEKI, Akinori et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6196-2, 2Vol, Part 1, 615314.1-615314.9Conference Paper

A chemically amplified calix[4]arene-based electron-beam resistSAILER, H; RUDERISCH, A; KERN, D. P et al.Microelectronic engineering. 2004, Vol 73-74, pp 228-232, issn 0167-9317, 5 p.Conference Paper

Amplitude and spatial frequency characterization of line edge roughness using CD-SEMEYTAN, Guy; DROR, Ophir; ITHIER, Laurent et al.SPIE proceedings series. 2002, isbn 0-8194-4435-9, 2Vol, vol 1, 347-355Conference Paper

Monte carlo model of charging in resists in e-beam lithographyKO, Yeong-Uk; JOY, David C.SPIE proceedings series. 2001, pp 544-551, isbn 0-8194-4030-2Conference Paper

Fabrication and optical properties of periodical structures based on a water-developable and tunable La0.7Sr0.3MnO3 resistWU, Ming-Chung; CHUANG, Chih-Min; CHEN, Yang-Fang et al.Journal of material chemistry. 2008, Vol 18, Num 7, pp 780-785, issn 0959-9428, 6 p.Article

Exploring the fundamental limit of CD control : shot noise and CD uniformity improvement through resist thicknessYU, Ming L; SAGLE, Allan; BULLER, Benny et al.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-5853-8, 2Vol, Part 1, 42-51Conference Paper

Novel polychromatic measurement technique for determining the dissolution rate of very thin resist filmsROBERTSON, Stewart A; KANG, Doris; SCHEER, Steven A et al.SPIE proceedings series. 2002, isbn 0-8194-4435-9, 2Vol, vol 1, 213-222Conference Paper

A high performance E-beam resist coupling excellent dry etch resistance and sub 100nm resolution for advanced mask and device makingHUANG, Wu-Song; RANEE KWONG; MAGG, Christopher et al.SPIE proceedings series. 2001, pp 268-277, isbn 0-8194-4029-9Conference Paper

A high performance E-beam resist coupling excellent dry etch resistance and sub 100nm resolution for advanced mask makingHUANG, Wu-Song; KWONG, Ranee; MAGG, Christopher et al.SPIE proceedings series. 2001, pp 287-297, isbn 0-8194-4111-2Conference Paper

Plasmonic Nanofabrication by Long-Range Excitation Transfer via DNA NanowireWIRTH, J; GARWE, F; HÄHNEL, G et al.Nano letters (Print). 2011, Vol 11, Num 4, pp 1505-1511, issn 1530-6984, 7 p.Article

Anomalous acid diffusion in a triphenylene molecular resist with melamine crosslinkerZAID, H. M; MANICKAM, M; PREECE, J. A et al.Microelectronic engineering. 2008, Vol 85, Num 7, pp 1540-1544, issn 0167-9317, 5 p.Article

Characteristics of negative electron beam resists, ma-N2410 and ma-N2405KIM, Youngsang; JEONG, Heejun.Microelectronic engineering. 2008, Vol 85, Num 3, pp 582-586, issn 0167-9317, 5 p.Article

Minimizing Linewidth Roughness for 22-nm node Patterning with Step-and-Flash Imprint LithographySCHMID, Gerard M; KHUSNATDINOV, Niyaz; BROOKS, Cynthia B et al.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 692109.1-692109.11, issn 0277-786X, isbn 978-0-8194-7106-2Conference Paper

Determining the impact of statistical fluctuations on resist line edge roughnessLEUNISSEN, L. H. A; ERCKEN, M; PATSIS, G. P et al.Microelectronic engineering. 2005, Vol 78-79, pp 2-10, issn 0167-9317, 9 p.Conference Paper

Effects of plasticizing additives in ArF chemically amplified resists : Special issue on advanced semiconductor processing technologyYOSHINO, Hiroshi; NAKATA, Taisaku; YAMANA, Mitsuharu et al.NEC research & development. 2001, Vol 42, Num 1, pp 32-36, issn 0547-051XArticle

  • Page / 5